Nanonex Corp

 , US Manufacturer
Nanoimprint lithography (NIL) tools, resists, masks, and processes for a variety of NIL including thermal and photo-curable NIL, as well as direct imprinting of materials.

BOZHON Precision Industry Technology Co Ltd

 , CN Manufacturer
Maskless photo lithography equipment, Laser de-bonding equipment, inspection and sorting equipment

Metrigraphics LLC

 , US Service Company
Manufacturer of micron-scale thin film circuits and electroformed components.

SVG Optronics Co. Ltd

 , CN Manufacturer,  Custom Manufacturer
Functional optical films and devices, for display, lighting, capacitive touch panels. technology transfering in the nano-manufacturing systems including UV maskless lithography, nano-patterning, roll-to-roll nano-imprinting and 3D light field printing.

SMART Photonics

 , NL Manufacturer
Photinic IC foundry. Photonic Integrated Circuits from epitaxial growth and re-growth, processing, polishing and dicing of wafers into chips.

HRL Laboratories, LLC

 , US Manufacturer
GaN Power Amplifier MMIC Dice. GaN foundry and MPW services.

Assist-navi Corp.

 , JP Manufacturer
Contract processing service specialized to MEMS/Semiconductor R&D as customer's second test lab. FOWLP, wafer laser direct patterning, fine patterning.

DuPont

 , US Manufacturer
CMP materials, lithography materials and services, semiconductor packaging and assembly materials, silicone products.

The Fraunhofer Screening Fab

 , DE Manufacturer,  Custom Manufacturer,  Service Company
300mm semiconductor grade screening and evaluation services for materials, processes, chemicals and consumables from laboratory scale to production scale (for ULSI) for IC manufacturers and suppliers.

Nano System Solutions Co., Ltd

 , JP Manufacturer
Mask writer, maskless lithography and inspection systems.