Founded in 1998 in Silicon Valley, ACM Research, Inc. develops wet processing technology and products for the semiconductor industry. The company has produced equipment for a range of applications in IC manufacturing and wafer level packaging — with a special focus on cleaning technologies for advanced semiconductor devices.

In September 2006, ACM expanded its operations into Asia and formed the subsidiary, ACM Research (Shanghai), Inc. ACM now has complete R&D, engineering and manufacturing operations at its Zhangjiang High-Tech Park facility in Shanghai, China. In June 2011, the company formed a second subsidiary, ACM Research (Wuxi), Inc., to better serve to customers in that region. In addition, advanced service coverage has also been positioned at strategic locations around the globe, including Beijing, Taiwan, Korea, and the U.S., to provide world-class support for customers worldwide.

IC Manufacturing
The industry’s most advanced wafer cleaning technologies for current and future processing nodes

Improved cleaning—an increasingly critical need…
New digital devices rely on the development of progressively faster, more powerful, smaller and lower cost semiconductors chips. However, as device features continue to shrink, smaller and smaller defects become “killer defects” which reduce yields—and profits. These defects can originate from many sources, so chip fabrication must include many cleaning steps. With increasing chip complexities, each wafer can now require as many as 200 cleaning steps during its manufacture. And advanced wafer cleaning systems must be smarter than ever.

Today’s wafer cleaning is facing unprecedented challenges. On the one hand, it must be thorough and aggressive enough to remove very small defects in hard-to-reach areas across the entire surface of the wafer. On the other hand, the cleaning process must be gentle enough not to damage the smallest and most fragile 2D and 3D circuit structures.

Advanced wafer cleaning systems: the problems with previous technologies
As process nodes shrank below 100nm, fabs switched from batch processes to single wafer cleaning tools using either jet spraying or megasonic vibration to improve cleaning performance. Jet spray cleaning shoots high-velocity water droplets at a wafer surface to remove defects. Megasonic cleaning transmits acoustic waves through a fluid bath to produce bubble oscillation, in a process known as “transient cavitation,” to dislodge defects that were difficult to clean by jet spray. However, in conventional megasonics the bubbles collapsed quickly and generated energy that could damage smaller, more delicate chip features. Also, those tools did not deliver energy uniformly across the wafer surface, which resulted in inadequate and uneven cleaning.

With process nodes shrinking to 14nm and below, the cleaning process becomes even more complicated, challenging—and critical:

Random defects are harder to remove as killer-defect sizes decrease.
Newer 3D structures such as FinFET transistors are often more fragile and susceptible to damage than older conventional 2D structures.
Cleaning challenges increase with increased aspect ratios — in vias, for example. While conventional 2D structures typically have aspect ratios of 3:1 or less, FinFET structures may have aspect ratios of 5:1 currently and more than 10:1 in the future. And other new 3D structures may have aspect ratios as high as 60:1.
ACM’s Smart Megasonix™—the industry’s most advanced cleaning
For all of these reasons ACM has developed innovative single wafer wet cleaning technologies and advanced wafer cleaning systems that can be used at existing and future process nodes across the range of processing steps—to achieve thorough, comprehensive cleaning, evenly across the wafer, and without damage to device features. These proprietary new ACM technologies are able to precisely control both the power intensity and the distribution of megasonic cleaning—with a dramatically positive effect.

SAPS™ cleaning technology: for flat and patterned wafers–to 14nm and beyond
ACM’s proprietary Space Alternated Phase Shift (SAPS™) technology employs alternating phases of megasonic waves to deliver megasonic energy to flat and patterned wafer surfaces in a highly uniform manner on a microscopic level. This enables it to remove random defects across an entire wafer much more efficiently than conventional jet spray processes. SAPS technology has demonstrated its advanced cleaning capabilities as nodes shrink from 32nm to 10nm and even beyond, for which jet spray technology is becoming less effective. Moreover, users of SAPS equipment have already expanded their application of ACM tools, adding cleaning to more processing steps to increase yields and reduce chemical usage. (See more on ACM’s SAPS cleaning systems.)

TEBO™ advanced wafer cleaning system: for high-aspect-ratio 2D and advanced 3D patterned wafers
For advanced wafer cleaning systems, ACM’s Timely Energized Bubble Oscillation (TEBO™) technology provides efficient, damage-free cleaning for both conventional 2D and 3D patterned wafers at advanced process nodes. It enables precise, multi-parameter control of bubble cavitation during megasonic cleaning by using a sequence of rapid pressure changes to force bubbles to oscillate in specific sizes and shapes. And because these bubbles oscillate instead of imploding or collapsing, TEBO technology avoids the pattern damage caused by the transient cavitation that is seen in traditional megasonic cleaning. ACM has already demonstrated TEBO’s damage-free cleaning capabilities on patterned wafers as small as 1xnm (16nm to 19nm), and the technology looks extremely promising for even smaller process nodes. TEBO technology is designed for efficient, damage-free cleaning of patterned chips with 3D structures such as FinFET, DRAM, 3D NAND and 3D cross point memory, even devices with very high aspect ratios. (See more on ACM’s TEBO cleaning systems.)

A comprehensive portfolio of advanced systems for front end IC manufacturing
ACM is a market leader in advanced technology development to support a wide variety of front end semiconductor manufacturing applications. Learn more about how we support today’s—and tomorrow’s—manufacturing requirements:

Tahoe Cleaning Systems
Backside Cleaning Systems
Wet Bench Cleaning Systems
Scrubber Systems
Dual Damascene Electroplating Systems
TSV Electroplating Systems
Furnace Systems

Wafer Level Packaging Tools
ACM systems deliver advanced performance for a wide spectrum of WLP applications

 

Customized WLP Equipment: From coating, developing and plating to stripping and etching
In addition to its leading-edge wafer cleaning systems, ACM also provides equipment for a wide spectrum of applications in wafer level packaging (WLP). We leverage our special wet processing technology and expertise to provide a range of single wafer tools for back end wafer assembly and packaging factories. We focus on providing custom-made, differentiated equipment that can incorporate customer-requested features and integrate multiple ACM technologies to enhance performance—and at a competitive price.

For example, ACM provides a fully automated Coater, into which we have incorporated the special functionality of a chamber auto-clean module, making it considerably easier to use and further differentiating our system from other products in the market. Our Developer is flexible and able to perform all three different methods of wafer photoresist (PR) developing. ACM’s Stripper provides high efficiency stripping with both batch and single-wafer processing, all in one system. Finally, ACM’s ECP advanced plating systems complement our coaters, developers, etchers, scrubbers, to provide virtually all wet processing equipment needed for wafer level packaging — a total solution that can help users to optimize production and reduce costs.

A wide spectrum of advanced WLP tools
ACM understands the diverse needs that different WLP users can have, so we offer the ability to accommodate individual equipment requests from our customers. Here are a number of the unique WLP systems we have developed as a result of such requests:

Scrubber Systems
Coating Systems
Developer Tools
Plating Tools
Wet Stripping Tools
Wet Etching Tools
Stress-free Polishing Systems
 


ACM Research provides advanced wafer cleaning and wet processing equipment for semiconductor manufacturingThe company’s initial focus was on ultra-low-K dielectric and copper integration, particularly on stress-free copper polishing technology, with the first product being introduced in 2001 and sold to leading edge wafer manufacturers in the U.S. In 2003 the company began to design innovative new single-wafer cleaning equipment aimed at the emerging generations of IC chips that presented increasing challenges for defect reduction. ACM Research has established important intellectual property (IP) in its proprietary Space Alternated Phase Shift (SAPS™) and Timely Energized Bubble Oscillation (TEBO™) megasonic cleaning technologies, along with their special chamber and platform designs. The TEBO technology in particular has been groundbreaking and revolutionary in enabling future generations of semiconductor circuits.

In October 2008, ACM Research Shanghai won an R&D grant to develop and commercialize the 65-45nm stress-free Cu polishing technology (SFP™). In January 2014 the company won another R&D grant to develop ACM’s new electro-copper plating (ECP™) technology. Presently holding a strong IP portfolio of over 140 internationally granted patents, ACM is committed to providing customers with leading-edge technology, world-class products and unexcelled service and support.

    Files

    Recommended Companies