Suzhou Bopai Semiconductor Technology Co., Ltd

 , CN Manufacturer
AMB ceramic substrates. Research, design and prototype advanced packaging concepts. Low to medium package assembly and transfer to volume OSATs. Advanced transfer molding and sintering systems

Nanonex Corp

 , US Manufacturer
Nanoimprint lithography (NIL) tools, resists, masks, and processes for a variety of NIL including thermal and photo-curable NIL, as well as direct imprinting of materials.

CONNECTEC JAPAN Corporation

 , JP
One stop R&D for device construction proposal, design, process proposal, prototype building, reliability testing, and volume production

Axus Technology

 , US Manufacturer,  Distributor,  Service Company
Process Expertise: CMP, Precision Wafer Grind, Post CMP Clean, Temporary Bonding

Caddy Co., Ltd

 , JP Manufacturer
Focusing on industrial machinery and equipment, including semiconductor manufacturing equipment, we are in charge of parts processing for a set of equipment, from manufacturing to quality assurance and delivery.

SPM AG

 , LI Custom Manufacturer,  Distributor
Engineering and solution provider for the semiconductor industry. Bonding service, Process control, Sputtering targets, Repair service, Spare Parts

SHK Engineering and Consulting GmbH & Co KG

 , DE Service Company
SHK supports Semiconductor manufacturers during design, start-up and expansion of their frontend Fab.

Fraunhofer Institute for Integrated Systems and Device Technology, IISB

 , DE Manufacturer,  Service Company
π-Fab - Prototype Fabrication, SiC-Services, Failure Analysis, Trouble Shooting in Power Electronics, Equipment and processes for the production of crystalline bulk and layer materials for electronics, comprising silicon, wide-band-gap semiconductors.

III-V Lab, France

 , FR Manufacturer,  Custom Manufacturer
III-V lab offers pre-commercial and customized production of III-V components or epitaxy wafers (MBE, MOVPE) for innovative products. advanced modeling to transfer to production in the field of III-V SC (GaAs, InP, GaN) and their integration on Si.

IMEC

 , BE
research in nanoelectronics

A-Gas Electronic Materials (A-Gas EM)

 , GB Distributor,  Manufacturers' Rep
a distributor for specialist materials, chemistries and processes for Semiconductor, PCB, EIF and APT. With a wide portfolio from several specialist manufacturers.

CSEM Brazil

 , BR Manufacturer,  Service Company
Printed electronics, microsystems research and development.

Greatech Technology Berhad

 , MY Manufacturer
Semiconductor production: Wafer Handler, EFEM (Equipment Front End Module). Solar: CVD Loader, Auto Rail Mounting System, Auto Framing Line, Interlayer Pairing System, Cover Glass Pairing System, Auto Labeling System, Leakage Current Inspection

Sioux Technologies

 , NL Distributor,  Turnkey Systems Integrator
High tech services and solutions provider for Semicon, Analytics, Medical and Automotive.

Nada Technologies, Inc.

 Austin, US Manufacturer
Nada Technologies, Inc offers wafer sorting solutions for major semiconductor industries

SMART Photonics

 , NL Manufacturer
Photinic IC foundry. Photonic Integrated Circuits from epitaxial growth and re-growth, processing, polishing and dicing of wafers into chips.

Zhejiang Jingsheng Mechanical & Electrical Co., Ltd.

 , CN Manufacturer
Crystal growing furnace, semiconductor cutting, grinding, polishing and other intelligent crystal processing equipment. Polishing fliuds. Quartz crucibles.

Comptek Solutions Oy

 , FI Service Company
Compound semiconductor oxidation technology IP.

VIS Ltd

 , IL Service Company
Service company for high tech equipment like: evaporation units, plasma and etch systems, leak detectors, purifiers, instrumentation for microelectronics, nanotechnology, optics and cryogenic systems, custom vacuum design and gauge calibration

Amx Automatrix Srl

 , IT Manufacturer
Sintering Press - Silver Sintering/Copper Sintering and Scanning Acoustic Microscopy, Wafer Lamination.