Agnisys Inc. is a leading supplier of Electronic Design Automation (EDA) software for solving complex design and verification problems for system development. Its products provide a common specification-driven development flow to describe registers and sequences for system-on-chip (SoC) and intellectual property (IP) enabling faster design, verification, firmware, and validation. Based on patented technology and intuitive user interfaces, its products increase productivity and efficiency while eliminating system design and verification errors.

Founded in 2007, Agnisys is based in Boston, Massachusetts, with R&D centers in the United States and India.

IDesignSpec™ (IDS): Create Executable Design Code From The Specification – UVM Register Generator
IDesignSpec is an award-winning software that helps IP/SoC design architects and engineers create simple yet powerful specifications in MS Word, Excel or Libre Office. It captures simple as well as special registers, signals, interrupts and sequences, then generates synthesizable RTL code and interfaces to ARM AMBA® buses like AXI, AHB, APB, AHB3Lite and other standard buses. IDS provides the C/C++ header files and firmware codes and enables software teams to develop the device driver at the early stage of the design cycle.

IDS NextGen™ (IDS-NG) : Comprehensive SoC/IP Specification and Code Generation Tool

IDS NextGen is a multi-platform product which helps user to create SoC specification at an enterprise level. It handles individual IP to sub-system to SoC level and is compatible with Word ,Excel, IP-XACT, RALF, CSV, System RDL. IDS NextGen generates design and verification code for not just registers but sequences in one integrated environment. It reduces the verification time by generating the entire UVM SV and SystemC output sequences.

ASVV™ – Automatic SOC Verification and Validation

A complete Integrated Software for SOC/IP teams who aims to cut down the verification and validation time. ASVV automatically generates UVM and C sequences which exhaustively tests the Memories and register maps. ASVV also provide a way to generate custom tests for boards, UVM and UVM-C based environments through a common specification. It provides a complete solution to a firmware Engineers to write and debug the device drivers and application software.

Specta-AV™ – A Complete Automated Verification System

Specta-AV is a comprehensive UVM testbench generator that automates verification using an industry-proven code generation technology. With the ability to parse hierarchical register specification from IP-XACT, SystemRDL, Word, or Excel, and the capability to retarget complex sequences into various modeling language such as C and SystemVerilog, Specta-AV facilitates a methodology where multiple SoC groups can align and work from a golden specification for autogenerating UVM tests/environments/agents.

ARV™ – Automatic Register Verification – Simulation – Formal Verification
ARV is a complete Register Verification solution using complementary methodologies, simulation and formal. ARV helps to auto-generate UVM testbench, bus agents, monitors, drivers, adaptors, predictors, sequencers, and sequences, giving users the means to complete the verification right the first time. This verification plan allows easy back-annotation from the test results, allowing users to track the progress of verification efforts. ARV-Formal automatically generates assertions directly from the specification automating setup and ensuring a rapid return on investment.

ISequenceSpec™ (ISS) : Portable Sequence Generator for Verification, Firmware & Validation

ISequenceSpec enables users to describe programming and test sequences of a device and automatically generate sequences ready to use from an early design and verification stage to post-silicon validation. Sequences are a “set of steps” that involve writing/reading specific bit fields of the registers in the IP/SoC. These sequences can be simple, or complex involving conditional expressions, an array of registers, loops and more. ISequenceSpec helps the user write a single sequence specification and generate the UVM sequences for verification, Verilog sequences for validation and various output formats for Automatic Test Equipment (ATE).

SLIP-G™ – Standard Library of IP Generators

In any System-on-Chip (SoC) design there are certain standard IPs that are nearly ubiquitous and are used across many designs. A designer, generally, has two alternatives – either to spend time creating these IPs from scratch to meet their custom requirements or get them off-the-shelf.

SoC Enterprise™ (SoC-E)- System-on-Chip Enterprise

SoC Enterprise provides a flexible and customizable environment for SoC design assembly to comprehensively meet specific design requirements. It’s not just an assembler as it can also generate RTL components like bus-aggregators, bridges (AHB-APB, AXI-APB, AXI4Full-AHBFull), muxes and other “plumbing” components by leveraging already existing and mature register solution along with the new “Standard Library of customizable & configurable IP Generators” (SLIP-G™).

DVinsight™: Design Verification Insight

With DVinsight, users develop high-quality design verification code rapidly, efficiently and creatively. DVinsight is a smart editor for creating correct-by-construction, high-quality design verification testbench code. Code created with DVinsight is UVM standardized and bug free to avoid time-consuming and costly debugging later in the semiconductor development process. Because DVinsight helps design verification engineers create correct-by-construction testbench code, it benefits expert developers as well as beginners because it prevents simple mistakes and helps beginners decrease their SystemVerilog and UVM learning curve. See the DVinsight Press Release.

Extensive Library of Available Services
Agnisys offers services for IP, FPGA, ASIC or SoC design teams to speed design and verification of advanced projects. Our skilled resource can help your team by augmenting your resources or training them to become more proficient with the advanced design and verification methodologies available today.

Our Services
Hardware Verification Service
Agnisys delivers turnkey design verification services and resource augmentation. Our team is well versed in System Verilog and Universal Verification Methodologies (SV/UVM) with a total experience of more than 100 man years. We use efficient and proven techniques to ensure that your project is completed on time with sign-off quality.

Register Management & Automation
Register management has emerged as an area for quality improvement for the entire semiconductor design engineering team. Agnisys offers semiconductor design services in this area providing a very cost effective service leveraging our proven expertise and commercial technology in this field.

Training Services
Agnisys offers a broad range of HDL, SystemC and UVM SystemVerilog training courses that can be streamlined to best match your specific needs. Our training courses are available on-site (for a minimum of 5 students) or at our office locations.

Files