Designers of today’s electronics can’t afford to overlook the integrity of their design in any or all aspects including correctness, safety, trust, and security. Companies have an obligation to meet functionality, safety, and security requirements or they are met with swift ramifications. We’ve all seen the headlines when something catastrophic happens, whether it be a plane crash, car crash, or a malicious hacker attack. Once that occurs, consumer trust is eroded and difficult to get back. Issues don’t have to be headline making to be devastating to a company’s bottom line. Turning out a product that has unknown performance or power issues, for example, can spell doom when detected in the field.

Meeting these requirements becomes tougher and tougher as innovation progresses, however. The SoCs that empower 5G, IoT, and AI that are at the crux of today’s innovation have to be increasingly complex, often with seismically bigger capacity and power, and the ability to be more flexible and customized. This up-shift means that companies have to be hyper-diligent not only about the functional correctness of their designs but also the safety, trust, and security. The design must operate as intended in even the most adverse environmental conditions and be immune to any unwarranted interference. To say it concisely, companies must be fully invested in the entire integrity of their IC – functional correctness, safety, trust, and security. 

OneSpin is the only company focusing on a holistic approach that addresses each of these areas to achieve the highest possible verification quality. The unique exhaustive technology and expertise provided by OneSpin reduces time-to-market while providing 100% confidence that the design has been fully verified.

The Company’s technology assures the integrity of SoCs, ASICs, and FPGAs and is well-suited for developing heterogeneous computing platforms, using programmable logic, and designing and integrating processor cores, such as RISC-V. The solutions verify that IP and ICs operate as intended even under adverse conditions caused by hardware faults or malicious attacks in the field. 

OneSpin’s helps solutions help achieve predictable and complete coverage with unbounded proofs for even the toughest problems. With over 300 development-years’ worth of maturity, the technology has received major awards and has been used on thousands of designs to solve the toughest next-generation verification challenges. 

The Company’s customer-oriented approach gives the assurance that target goals will be met.

What problems does OneSpin solve?

OneSpin Solutions provides certified IC integrity verification solutions for use across the entire System-on-Chip (SoC) design flow. These solutions assure that designs are functionally correct, safe and meet stringent safety compliance standards, trusted and secure. Its solutions are categorized into four categories:

Design Verification: Rigorous coverage-driven functional verification from block to chip, leveraging unique formal technology.

Equivalence Checking: Automatic detection of systematic design errors introduced by design refinement tools (e.g., synthesis) to exhaustively verify equivalence of RTL to synthesized netlist to final place & route (P&R).

Functional Safety: Safety analysis to meet the strict certification requirements of functional safety standards such as ISO 26262 for automotive, IEC 61508 for industrial, and DO-254 for avionics.

Trust and Security: Automatic detection of RTL Trojans and hardware vulnerabilities to adversary attacks.

OneSpin’s software solutions have been used by numerous leading electronic semiconductor and system companies to increase the quality of their products within reduced development schedules.

What is unique about OneSpin Technology?

Aside from being the only company to adopt a holistic approach to IC integrity to assure functional correctness, safety, trust, and security of the design, OneSpin has the most advanced formal platform available today.

The Company’s value-add unique technology includes:

Equivalence Checking icon


FPGA Equivalence Checking: No other company has the technology to make sure that systematic design errors aren’t introduced during synthesis or place and route for FPGAs. OneSpin 360 EC-FPGA ensures that advanced optimizations needed to meet aggressive power, performance and area goals do not change design functionality. The solution also detects unexpected functionality, unintentional logic inserted due to flow errors, and a variety of hardware Trojans and other malicious logic intentionally inserted. EC-FPGA is ideal for heterogeneous computing platforms and high-bandwidth applications that range from 5G communications, artificial intelligence, machine learning, data center acceleration, and high-performance computing to radar processing, defense, automotive, and medical.

GapFreeVerification icon


GapFreeVerification: This unique approach goes beyond verifying that a design satisfies a set of assertions. It also verifies that the set of assertions is sufficient to cover the design and ensures that there is no unverified RTL functionality. This automatically detects specification omissions and errors, holes in the verification plan, and unverified RTL functions.

PortableCoverage icon


PortableCoverage: No other company has the ability to integrate coverage analysis and assertion results from formal with coverage metrics and results from simulation in an open platform. This provides a clearer picture of where coverage stands to identify coverage holes. It also provides a complete picture of verification progress annotated into the verification plan. This is particularly important as today’s complex designs require complex verification environments.

High-Level Verification icon


High-Level Verification: High-level synthesis is becoming more popular, particularly for data-driven designs (automotive, AI, machine learning, and 5G). OneSpin is the only provider of exhaustive formal and automated verification for companies using C++/SystemC.

Connectivity icon


Connectivity: OneSpin has the only solution to exhaustively verify 7nm, multi-billion gate designs with millions of connections.

FMEDA icon


FMEDA: OneSpin provides an automated failure modes, effects, and diagnostic analysis (FMEDA) flow that requires minimal fault simulation. No other company offers this capability.

OneSpin’s targeted solutions comprise a range of high value, differentiated products, and highly focused formal applications (apps). To provide an even richer selection of products, OneSpin also allows third-party app providers to incorporate and distribute the OneSpin formal platform as part of their products.

OneSpin Services

OneSpin provides in-depth services, including flow deployment and knowledge-transfer to meet IC integrity goals. Other companies that provide services don’t put an emphasis on transferring knowledge and teaching customer the correct techniques. Services are based on OneSpin’s best-in-class solutions. For larger, turn-key verification projects, OneSpin has a deep network of Spinnaker partners already researched and certified by OneSpin.

Company Overview diagram

The formal verification market

High-quality, efficient hardware verification is the critical element of modern IC design, representing approximately 70% of total development time and resources. The $1.35B verification market (ESD Alliance 2018) consists of a variety of verification solution sales. Given the continual increase in design size and complexity (doubling every 18 months), traditional verification methods such as simulation no longer scale to meet requirements.

Augmenting traditional solutions with formal-based applications has proven to accelerate the verification process, improve the probability of issue discovery, and in some cases provide brand new solutions to difficult challenges. This has led to over $192M (ESD Alliance 2018) being spent on formal verification alone.

OneSpin is the only company focused on providing a complete and exhaustive set of IC integrity verification solutions based on formal technology. OneSpin has grown its market share beyond 5% over the past few years and achieved a five-year 34% compounded annual growth rate (CAGR).

OneSpin Locations map

Continous success and customer satisfaction

The company is headquartered in Germany and today employs more than thirty people globally. To accelerate growth, OneSpin secured a round of funding in 2012 from Azini Capital of London, UK. Azini is OneSpin’s primary investor and continues to support the company as required.

The OneSpin management team is dedicated to assuring IC integrity by helping companies create functionally correct, safe, trusted, and secure digital chips that shape everyone’s future. Combining a tremendous amount of experience with creativity, a strong vision, and business sense, OneSpin’s management leads the global team on the leading edge of technology.

To date the company has sold to more than fifty customers around the globe, including many leading electronics companies across various industry segments such as Bosch, Hitachi, Infineon, NEC, Nokia, Sony, Western Digital, Xilinx and others. OneSpin continually ensures that its core technology and expert team is effective at meeting their needs, to provide a positive and enlightening customer experience.

Team Photo 2019

Distribution and industry relationships

OneSpin Solutions markets its products globally through direct channels and distributors. Offices with expert application engineering teams are maintained in San Jose, CA, Munich, Germany, and Yokohama, Japan. It has also partnered with sales and support organizations in Seoul, S. Korea; Beijing, China; Bracknell, UK; Munich, Germany; Paris, France; and Netanya, Israel.

The company has partnered with leading FPGA providers Intel, MicroSemi, and Xilinx, has established an OEM agreement with Mentor (formerly OaSys), is a member of the Synopsys InSync program and the Cadence Connections program, and works with multiple other partners across the EDA industry. It is a member of the Accellera standards organization, the Electronic Systems Design Alliance industry consortium, the RISC-V Foundation, and the OpenHW Working Group, and sponsors many verification events worldwide.

Files