Intel’s previous difficulties in developing new process node technologies led to the company losing its edge in semiconductor manufacturing to TSMC. In response, Intel's CEO Pat Gelsinger has initiated a turnaround plan focused on delivering five new process nodes within four years and transitioning the company to an IDM 2.0 philosophy, including the establishment of Intel Foundry Services (IFS). This revitalization effort necessitates substantial global investments in chipmaking and packaging capacity, with the goal of positioning IFS as the world’s second-largest foundry by 2030 under the leadership of Stu Pann, Senior Vice President and General Manager of Intel Foundry Services.

The upcoming IFS Direct Connect 2024 event will feature prominent industry figures and focus on topics such as Intel's production of Arm chips for external customers, the impact of the CHIPs Act, potential custom node development, collaboration plans with UMC and Tower, and the competitive landscape in the mature node market, with participation from key industry leaders like Microsoft, Arm, and U.S. Secretary of Commerce.

Intel Foundry Services is committed to producing Arm Neoverse chips on its leading-edge process nodes, showcasing its strategic partnership with Arm and dedication to penetrating the hyperscaler market. Additionally, IFS is open to collaborative customization work with third-party partners, supported by investments in EDA (electronic design automation) tools and processes to cater to customer demands.

The strong uptake of Intel’s leading-edge 18A process node by IFS customers aligns with the company's goal, with an emphasis on fostering seamless integration and compatibility through partnerships with EDA vendors. While collaborations with UMC and Tower contribute to Intel’s overall capacity build-out, the primary focus remains on establishing IFS as a leading player in the high-performance process market.

The CHIPs Act plays a crucial role in accelerating IFS's growth, reflecting Intel’s significant involvement in securing government support and highlighting the interest of U.S. Secretary of Commerce Gina Raimondo in boosting domestic semiconductor production.

Intel's collaboration with the Department of Defense through initiatives like RAMP-C demonstrates its commitment to supplying advanced semiconductors for critical applications, bolstering its position as a major supplier for the U.S. government and defense industry.

Regarding packaging capabilities, IFS plans to conduct advanced packaging work across its facilities in New Mexico, Oregon, and Arizona, with potential final assembly and testing in Costa Rica. The company emphasizes geographic flexibility and customized solutions, aiming to meet customer preferences for "Made in the Americas" products while maintaining global operational resilience.

Intel Foundry Services has initiatives similar to TSMC's OIP program, showcasing its ecosystem of 33 vendors and its commitment to providing a comprehensive suite of tools and support for its customers. Despite the growing fab build-outs in China, particularly for mature nodes, IFS remains focused on leveraging collaborative agreements with UMC and Tower to effectively utilize existing assets and compete on a cost-competitive basis, especially within the U.S. and with U.S. multinationals.

IFS is strategically positioned to drive Intel’s revival in semiconductor manufacturing through its robust turnaround plan, diverse partnerships, and commitment to technological innovation and customer-centric solutions.