ASML has recently disclosed the pricing and capabilities of its next-generation High-NA extreme ultraviolet (EUV) Twinscan EXE lithography machines. The company stated that these cutting-edge tools will cost approximately $380 million (€350 million) per unit, compared to the existing Low-NA Twinscan NXE EUV systems, which are priced around €170 million ($183 million) depending on the specific models and configurations. This significant price differential aligns with prior indications that the new High-NA EUV lithography tools would cost over twice as much as the current Low-NA EUV tools.

Furthermore, ASML has indicated that it has received "10 to 20" orders for the High-NA Twinscan EXE machines from various companies, including Intel and SK hynix. The company's ambitious goal is to produce 20 of these advanced systems annually by 2028.

The High-NA Twinscan EXE lithography machines are a technological marvel for ASML, with each device weighing 150,000 kilograms and requiring 250 crates for transport. Assembly of a single tool takes approximately six months and involves the effort of 250 engineers.

Notably, the forthcoming High-NA EUV Twinscan EXE tool is projected to achieve an 8nm resolution, a significant improvement over existing Low-NA EUV scanners that can only reach 13nm with a single exposure. This breakthrough in resolution enables the creation of transistors approximately 1.7 times smaller, leading to nearly a threefold increase in transistor density. The ability to achieve 8nm critical dimensions is crucial for the production of chips with sub-3nm process technologies, a milestone the industry seeks to attain between 2025 and 2026.

While Low-NA lithography systems can match this resolution and transistor density, they rely on a more costly and complex double-exposure technique known as double patterning. The adoption of High-NA EUV technology is anticipated to eliminate the need for EUV double patterning, simplifying production processes, potentially improving yields, and reducing costs.

Nevertheless, embracing this advancement presents significant challenges, as High-NA EUV tools are not only pricier but also feature a halved imaging field, necessitating a reevaluation of chip designs. Additionally, the new High-NA EUV lithography systems are substantially larger than their Low-NA counterparts, prompting chipmakers to reconsider their fab configurations.

In response to these changes, various chipmakers have distinct plans for deploying High-NA EUV machines. Intel intends to incorporate High-NA EUV tools into its post-18A or 1.8nm node process technology, while TSMC is reportedly taking a more cautious approach and considering the use of this technology for its 1nm node around 2030, although the company has not confirmed its precise timeline.