Substrate Manufacturing
KLA’s substrate manufacturing portfolio includes defect inspection and review, metrology and data management systems that help substrate manufacturers manage quality throughout the wafer fabrication process. Specialized wafer inspection and review tools assess wafer surface quality and detect, count and bin defects during production and as a critical part of outgoing wafer qualification. Wafer geometry systems ensure the wafer shape is extremely flat and uniform in thickness, with precisely controlled wafer shape topography. Data analysis and management systems proactively identify substrate fabrication process excursions that can lead to yield loss. KLA’s substrate manufacturing systems support process development, production monitoring and final quality check of a broad range of substrate types including silicon, prime silicon, SOI, sapphire, glass, GaAs, SiC, GaN, InP, GaSb, Ge, LiTaO3, LiNBO3, and epitaxial wafers.

Chip Manufacturing
KLA’s advanced process control and process enabling solutions support integrated circuit manufacturing. Using KLA’s comprehensive portfolio of defect inspection, review, metrology, patterning simulation, in situ process monitoring and data analytics systems, IC manufacturers can manage yield and reliability throughout the chip fabrication process - from research and development to final volume production. SPTS provides deposition process solutions for insulating materials and conducting metals that cover a range of chip manufacturing process steps. IC manufacturers use KLA's array of products and solutions to help accelerate their development and production ramp cycles, to achieve higher semiconductor die yield and improved IC quality, and to improve overall profitability in the IC manufacturing process.

Reticle Manufacturing
An error-free reticle (also known as a photomask or mask) represents a critical element in achieving high semiconductor device yields, since reticle defects or pattern placement errors can be replicated in many die on production wafers. Reticles are built upon blanks: substrates of quartz deposited with absorber films. KLA’s portfolio of reticle inspection, metrology and data analytics systems help blank, reticle and IC manufacturers identify reticle defects and pattern placement errors, thereby reducing yield risk.

Packaging Manufacturing
KLA’s extensive portfolio of packaging solutions accelerates the manufacturing process for outsourced semiconductor assembly and test (OSAT) providers, device manufacturers and foundries for a wide range of packaging applications. Innovations in advanced packaging, such as 2.5D/3D IC integration using through silicon vias (TSVs), wafer-level chip scale packaging (WLCSP), fan-out wafer-level packaging (FOWLP) and heterogeneous integration as well as a wide range of IC substrates create new and evolving process requirements. KLA offers systems for packaging inspection, metrology, die sorting and data analytics focused on meeting quality standards and increasing yield before and after singulation. SPTS provides a broad range of etch and deposition process solutions for advanced packaging applications. Orbotech offers a portfolio of technologies that includes automated optical inspection (AOI), automated optical shaping (AOS), direct imaging (DI), UV laser drilling, inkjet/additive printing and software solutions to ensure manufacture of the highest quality of IC substrates.

Compound Semi | MEMS | HDD Manufacturing
KLA has a comprehensive portfolio of inspection, metrology, and data analytics systems to support power devices, RF communications, LED, photonics, MEMS, CPV solar and display manufacturing. High brightness LEDs are becoming commonly used in solid-state lighting and automotive applications, and LED device makers are targeting aggressive cost and performance improvements, requiring more emphasis on improved process control and yield. Similarly, leading power device manufacturers are targeting faster development and ramp times, high product yields and lower device costs, and are implementing solutions for characterizing yield-limiting defects and processes. KLA's inspection, metrology and data analytics systems help these manufacturers control their processes and increase yield.

 

 

 

 

 

 

 

 

Files