Tokyo Electron Ltd. engages in the development, manufacture, and sale of semiconductor production equipment and industrial electronics products for flat panel display manufacturing equipment. It operates through the following segments: Semiconductor Production Equipment (SPE), Flat Panel Display (FPD) Production Equipment and Others. The SPE segment handles the development, manufacture, service, and distribution of coaters/developers, plasma etch systems, thermal processing systems, single wafer deposition systems, cleaning systems, wafer probers, and other semiconductor production equipment. The FPD Production Equipment segment manufactures coater/developer for manufacturing flat panel display and Plasma etching/ashing device. The Others segment includes logistics, facilities management, and insurance businesses. The company was founded in November 11, 1963 and is headquartered in Tokyo, 

Semiconductor

Coater/Developer
Etch system
Surface Preparation system
Deposition
Test system
Wafer Bonder/Debonder
SiC Epitaxial CVD system
Gas Cluster Ion Beam system
FPD

FPD
Coater/Developer

CLEAN TRACK™ LITHIUS Pro™ AP

Coater/Developer

CLEAN TRACK™ LITHIUS Pro™ Z

Coater/Developer

CLEAN TRACK™ LITHIUS Pro™ V/LITHIUS Pro™ V -i

Coater/Developer

CLEAN TRACK™ LITHIUS Pro™/LITHIUS Pro™ ーi

Coater/Developer

CLEAN TRACK™ LITHIUS™/LITHIUS™ i+

Coater/Developer

CLEAN TRACK™ ACT™12/ACT™ 8

Coater/Developer

CLEAN TRACK™ ACT™12 SOD/ACT™ 8 SOD

SOD Coater

CLEAN TRACK™ ACT™ M

Photomask Coater/Developer

CLEAN TRACK™ Mark-Vz

Coater/Developer

CLEAN TRACK™ Mark 7/Mark 8

Coater/Developer

Etch system

Tactras™ Vigus™

Plasma Etch System

Certas LEAGA™

Gas Chemical Etch System

Tactras™ Vesta™

Plasma Etch System

Tactras™ RLSA™

Plasma Etch System

Tactras™ DRM

Plasma Etch System

Tactras™ SCCM™

Plasma Etch System

UNITY™ Me

Plasma Etch System

Surface Preparation system

CELLESTA™ -i MD

Single Wafer Cleaning System

CELLESTA™ -i

Single Wafer Cleaning System

EXPEDIUS™ -i
Auto Wet Station

EXPEDIUS™ +

Auto Wet Station

NS300Z

Scrubber System

NS300+ HT

Scrubber System

NS300

Scrubber System

SS4

Scrubber System

ANTARES™ -Nano

Single Wafer Cryokinetic Cleaning System

ANTARES™

Single Wafer Cryokinetic Cleaning System

ZETA™ 200/300

Batch Spray System

ZETA™ Semi-auto

Batch Spray System

Deposition

TELINDY PLUS™

Thermal Processing System

TELFORMULA™

Thermal Processing System

TELINDY PLUS™ IRad™

Plasma-Enhanced Batch Thermal ALD System

TELINDY™

Thermal Processing System

ALPHA(α)-303i

Thermal Processing System

ALPHA-8SE™

Thermal Processing System

ALPHA-8S

Thermal Processing System

NT333™

Atomic Layer Deposition System

Triase+™ EX-II™ TiN

Single Wafer Deposition System

Triase+™ Ti/TiN

Single Wafer Deposition System

Triase+™ W

Single Wafer Deposition System

Triase+™ SPAi

Single Wafer Plasma Treatment System

EXIM™

PVD System

MRT300

Magnetic Annealing

MRT5000

Magnetic Annealing

MRT200

Low field Magnetic Annealing

MATr

Magnetic Annealing

MATrSM

Setting Magnet

Test system

Cellcia™

Multi-Cell Test System

Precio™ XL

Wafer Prober

Precio nano™/Precio™

Wafer Prober

Precio octo™

Wafer Prober

TELPADS™-O

High-speed Probe Mark Automatic Inspection System

PN-300

Data Management System for Wafer Prober

N-PAF

Operation Support System for Wafer Prober

WDF™ 12DP+

Wafer/Dicing Frame Prober

Wafer Bonder/Debonder

Synapse™ Si

Wafer Bonder

Synapse™ V/Synapse™ Z Plus

Wafer Bonder/Debonder

SiC Epitaxial CVD system

Probus-SiC™

SiC Epitaxial CVD system

Gas Cluster Ion Beam system

UltraTrimmer Plus™

GCIB system Corrective Etching/Trimming

FPD

Betelex™

FPD Etch/Ash System

Impressio™

FPD Etch/Ash System

PICP™

FPD Etch/Ash System

HT-910

FPD Etch/Ash System

Exceliner™

FPD Coater/Developer

Elius™

Inkjet printing system for manufacturing OLED panels

Files

Recommended Companies