ASML Holding N.V. is a holding company. The Company is a manufacturer of chip-making equipment. The Company is engaged in the development, production, marketing, selling and servicing of semiconductor equipment systems, consisting of lithography systems. The Company's products include systems, and installed base products and services. The Company's principal operations are in the Netherlands, the United States and Asia. The Company offers TWINSCAN systems, equipped with lithography system with a mercury lamp as light source (i-line), Krypton Fluoride (KrF) and Argon Fluoride (ArF) light sources for 300 millimeter processing wafers for manufacturing environments for which imaging at a small resolution is required. TWINSCAN systems also include immersion lithography systems (TWINSCAN immersion systems). The Company also offers NXE systems, which are equipped with extreme ultraviolet (EUV) light source technology. The Company offers YieldStar, a wafer metrology system.

 

The semiconductor industry is driven by affordable scaling, which is powered by ASML’s holistic lithography product portfolio

We provide our customers with everything they need – hardware, software and services – to mass produce patterns on silicon, allowing them to increase the value and lower the cost of a chip.
Lithography systems
ASML TWINSCAN NXE:3400C EUV lithography machine
EUV lithography systems
Providing highest resolution in high-volume manufacturing, our extreme ultraviolet lithography machines are pushing Moore’s Law forward.

ASML TWINSCAN NXT:2000i DUV lithography machine
DUV lithography systems
Our deep ultraviolet lithography systems dive deep into the UV spectrum to print the tiny features that form the basis of the microchip.

Refurbished systems
Almost every lithography system that we’ve ever shipped is still in use at a customer fab. We refurbish ‘classic’ PAS 5500 and TWINSCAN lithography systems for a new life and a new purpose.

NXE3400 metrology
Metrology & inspection systems
Delivering speed and accuracy, our metrology and inspection portfolio covers every step manufacturing processes, from R&D to mass production.


Computational lithography
ASML is pioneering this industry-leading technique, which minimizes the physical and chemical effects that interfere with the quality of a chip.
 

Files

Non Verified

Netherlands

Recommended Companies